Register a SA Forums Account here!
JOINING THE SA FORUMS WILL REMOVE THIS BIG AD, THE ANNOYING UNDERLINED ADS, AND STUPID INTERSTITIAL ADS!!!

You can: log in, read the tech support FAQ, or request your lost password. This dumb message (and those ads) will appear on every screen until you register! Get rid of this crap by registering your own SA Forums Account and joining roughly 150,000 Goons, for the one-time price of $9.95! We charge money because it costs us money per month for bills, and since we don't believe in showing ads to our users, we try to make the money back through forum registrations.
 
  • Post
  • Reply
Sapozhnik
Jan 2, 2005

Nap Ghost
"Oh yeah? Hold my beer Stroustrup"

Adbot
ADBOT LOVES YOU

BobHoward
Feb 13, 2012

The only thing white people deserve is a bullet to their empty skull
has anyone pointed out to the v guy that .v is already in use for verilog

JawnV6
Jul 4, 2004

So hot ...

BobHoward posted:

has anyone pointed out to the v guy that .v is already in use for verilog

no, but over the weekend i learned that spectre/meltdown are verilog's fault and a better HDL wouldn't allow them

Suspicious Dish
Sep 24, 2011

2020 is the year of linux on the desktop, bro
Fun Shoe
i thought intel used vhdl internally also what can you go into more depth there

JawnV6
Jul 4, 2004

So hot ...

Suspicious Dish posted:

i thought intel used vhdl internally also what can you go into more depth there
oops forgot the context that this was HN drivel from someone unhindered by knowledge

but no vhdl is european, you think that thrice-reheated cruft goes anywhere near our glorious american cores???

Lutha Mahtin
Oct 10, 2010

Your brokebrain sin is absolved...go and shitpost no more!

that dumb compiler is fast because it's small enough to fit in a cpu cache, right?

Suspicious Dish
Sep 24, 2011

2020 is the year of linux on the desktop, bro
Fun Shoe
also because it doesn't do anything -- it compiles to very horrible C code

akadajet
Sep 14, 2003

:v:lang

BobHoward
Feb 13, 2012

The only thing white people deserve is a bullet to their empty skull

JawnV6 posted:

no, but over the weekend i learned that spectre/meltdown are verilog's fault and a better HDL wouldn't allow them

:allears: I need links

JawnV6 posted:

but no vhdl is european, you think that thrice-reheated cruft goes anywhere near our glorious american cores???

layers of irony for those unfamiliar: vhdl was created by the United States DOD as its official HDL, complete with syntax directly lifted from Ada, because DOD. then us industry (outside of defense contractors) basically ignored it and went with verilog instead, while for reasons unclear to me european private industry went the opposite way

JawnV6
Jul 4, 2004

So hot ...

BobHoward posted:

:allears: I need links
to something on the internet several days ago? i didn't comment, so i have no way to get back to it. doesn't appear to be the MIPS R3000 article fwiw

at some point it's not that wrong, you could write some checker that tags data to threads and blows up when something touches another. but that's nowhere near a good enough reason to swap out verilog

Suspicious Dish posted:

i thought intel used vhdl internally also what can you go into more depth there
the first HDL i used at intel was iHDL - an in-house abomination that mixed all kinds of concerns. domino logic expressed in the source continues to haunt me. like imagine specifying the ADD opcode you wanted to be used under the hood in a python program

i did co-simulations that had pieces in both verilog & vhdl, which was just a nightmare. 4value/GLS had better tooling

animist
Aug 28, 2018

JawnV6 posted:

no, but over the weekend i learned that spectre/meltdown are verilog's fault and a better HDL wouldn't allow them

wait what

BobHoward
Feb 13, 2012

The only thing white people deserve is a bullet to their empty skull

JawnV6 posted:

to something on the internet several days ago? i didn't comment, so i have no way to get back to it. doesn't appear to be the MIPS R3000 article fwiw

at some point it's not that wrong, you could write some checker that tags data to threads and blows up when something touches another. but that's nowhere near a good enough reason to swap out verilog

did some googling, found nothing. I did find this academic idea though, you might find it interesting http://www.cs.cornell.edu/projects/secverilog/

not exactly what your idea is i think? and I’m a little skeptical that such an extension can comprehensively find timing channels and other information leakage, but i haven’t actually read any of the papers :effort:

agreed that there is a little truthiness in that it should be possible to design a hdl which helps identify these issues in the design stage. but it sounds like your poster was all “it’s because verilog!” rather than the correct “whole industry was blindsided by unforeseen security holes left wide open in virtually all cpu isa specs”. everyone thought it would be enough to strive for correct implementation of their respective isa specs, but the specs p much all say your jobs done if you prevent privilege violations from modifying architecturally visible state. turns out that’s not nearly enough, so here we are

quote:

the first HDL i used at intel was iHDL - an in-house abomination that mixed all kinds of concerns. domino logic expressed in the source continues to haunt me. like imagine specifying the ADD opcode you wanted to be used under the hood in a python program

i have heard of ihdl before but was not aware of such horrors. i know Intel was all about hand tweaked logic and layout for a long time, guess that mentality must have leaked into iHDL

Bloody
Mar 3, 2013

all hdls are fuckin terrible

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
even CλaSH ?

echinopsis
Apr 13, 2004

by Fluffdaddy

mystes posted:

I only learned about V a day or two ago and I'm already sick if hearing about it.

Yes, it's dumb. Yes its delusional creator is getting money on Patreon somehow. But seriously, who cares.

the creator is so sick he doesn’t just have chlamydia or aids or whatnot but an entire library of stds. what the gently caress man save some for the rest of us

(USER WAS PUT ON PROBATION FOR THIS POST)

spiritual bypass
Feb 19, 2008

Grimey Drawer
whoa really

Suspicious Dish
Sep 24, 2011

2020 is the year of linux on the desktop, bro
Fun Shoe

echinopsis posted:

the creator is so sick he doesn’t just have chlamydia or aids or whatnot but an entire library of stds. what the gently caress man save some for the rest of us

this is the kind of humor i come to the funny computer forums for. thanks ech

Captain Foo
May 11, 2004

we vibin'
we slidin'
we breathin'
we dyin'

Suspicious Dish posted:

this is the kind of humor i come to the funny computer forums for. thanks ech

this but unironically

prisoner of waffles
May 8, 2007

Ah! well a-day! what evil looks
Had I from old and young!
Instead of the cross, the fishmech
About my neck was hung.

Captain Foo posted:

this but unironically

"but doctor," I sob, "the quoted post was in earnest"

JawnV6
Jul 4, 2004

So hot ...

BobHoward posted:

did some googling, found nothing. I did find this academic idea though, you might find it interesting http://www.cs.cornell.edu/projects/secverilog/

not exactly what your idea is i think? and I’m a little skeptical that such an extension can comprehensively find timing channels and other information leakage, but i haven’t actually read any of the papers :effort:
i knew it would be a type system handwaving

on a first pass it strikes me as so obnoxious and onerous that no serious design would bother, then if you actually went through the effort the "whole industry blindsided" problem bites where nobody was tracking who put something in a cache to begin with

BobHoward posted:

agreed that there is a little truthiness in that it should be possible to design a hdl which helps identify these issues in the design stage. but it sounds like your poster was all “it’s because verilog!” rather than the correct “whole industry was blindsided by unforeseen security holes left wide open in virtually all cpu isa specs”. everyone thought it would be enough to strive for correct implementation of their respective isa specs, but the specs p much all say your jobs done if you prevent privilege violations from modifying architecturally visible state. turns out that’s not nearly enough, so here we are
there's a minor holy war over the term "architecturally visible state", or was at least on the automated triage side of things. idk if spectre made it obvious that branch predictor state counts

i still think you just extend HT everywhere and keep that 1-bit tag on everything and reject usage if it doesn't match. but it probably shaves half a percent of TPC-C or something

BobHoward posted:

i have heard of ihdl before but was not aware of such horrors. i know Intel was all about hand tweaked logic and layout for a long time, guess that mentality must have leaked into iHDL
when debugging digital logic, the tooling has a sense of 'time.' you can double click on a value and the debugger will trace back and figure out when that signal took on that value. it's really handy, e.g. you can trace a line in the cache back by checking when the Valid bit went high

that's great until you hit a domino signal that's pre-charging every half cycle. or guess the wrong input and get hurtled backwards in time to the beginning of the simulation

eschaton posted:

even CλaSH ?
it's like bluespec, sure you can generate something "correct" but it'll be a relatively bloated design. the same thing's going to happen in HW that happened in SW though, we'll eventually have such a glut of resources that using them mindfully doesn't really matter so much

JawnV6
Jul 4, 2004

So hot ...

BobHoward posted:

layers of irony for those unfamiliar: vhdl was created by the United States DOD as its official HDL, complete with syntax directly lifted from Ada, because DOD. then us industry (outside of defense contractors) basically ignored it and went with verilog instead, while for reasons unclear to me european private industry went the opposite way
oh, there's so much goofy DoD history i forgot the weird 486 version that was ground-up rewritten in another HDL because of some lawrence livermore stipulation that forced things to be in a industry-standard language

Bloody
Mar 3, 2013

theres a zillion attempts to just bolt hardware design onto the side of a programming language (i know of, off hand, examples for this in C, C++, python [what the gently caress], haskell, and scala, but i don't doubt that there are more)

largely, this approach is doomed to fail, because hardware and software design are very different!!! like, there's a ton for hardware design languages to learn from modern programming languages, but just bolting some poo poo on the side of one isn't gonna get you there in a good way imo

animist
Aug 28, 2018
i saw a cool paper about an asynchronous hdl a while back but afaict nobody's been doing much with it since then

Plorkyeran
Mar 22, 2007

To Escape The Shackles Of The Old Forums, We Must Reject The Tribal Negativity He Endorsed

Suspicious Dish posted:

also because it doesn't do anything -- it compiles to very horrible C code

no, even dumber. it compiles straight to unoptimized x86 machine code with no form of ir or anything

Soricidus
Oct 21, 2010
freedom-hating statist shill

Plorkyeran posted:

no, even dumber. it compiles straight to unoptimized x86 machine code with no form of ir or anything

only on macos. windows and linux require a c compiler

Lutha Mahtin
Oct 10, 2010

Your brokebrain sin is absolved...go and shitpost no more!

Bloody posted:

largely, this approach is doomed to fail, because hardware and software design are very different!!! like, there's a ton for hardware design languages to learn from modern programming languages, but just bolting some poo poo on the side of one isn't gonna get you there in a good way imo

why dont you just lay out all the logic by hand, like grampa babbage did :corsair:

feedmegin
Jul 30, 2008

BobHoward posted:

:allears: I need links


layers of irony for those unfamiliar: vhdl was created by the United States DOD as its official HDL, complete with syntax directly lifted from Ada, because DOD. then us industry (outside of defense contractors) basically ignored it and went with verilog instead, while for reasons unclear to me european private industry went the opposite way

Fwiw Europe's moving over to (System?) Verilog these days. ARM definitely has.

dougdrums
Feb 25, 2005
CLIENT REQUESTED ELECTRONIC FUNDING RECEIPT (FUNDS NOW)

animist posted:

i saw a cool paper about an asynchronous hdl a while back but afaict nobody's been doing much with it since then
I have a copy of A Designer's Guide to Asynchronous VLSI, which is a good read. In chapter three they introduce a bunch of verilog macros they call VerilogCSP. (that is, communicating sequential process calculus)

taqueso
Mar 8, 2004


:911:
:wookie: :thermidor: :wookie:
:dehumanize:

:pirate::hf::tinfoil:

VHDL is crazy verbose, Verilog feels sortof sloppy but it's much nicer to read and write. The world could absolutely use a new take on HDL that doesn't have historical baggage.

JawnV6
Jul 4, 2004

So hot ...
system verilog handled one of the biggest pains with the i/o bundles, just wire up everything with the same name on both sides

OVM took out a lot of pain with oddly-sized environments, same checker runs on the same signals in the tiny cluster test env and the giant fullchip simulation

there's still a pain point on what language you write the tests/coverage/etc. in (yesterday i found an old copy of my resume with Specman on it)

what's your fantasy HDL doing anyway?

animist
Aug 28, 2018
I did a course in bluespec systemverilog once which was pretty neat, it has some nice composability features and things. kinda awkward because of verilog backcompat tho

JawnV6
Jul 4, 2004

So hot ...
bluespec generates bloated garbage appropriate for easily building protocol checkers that can run at HW speed in parallel

i seriously think a circuit designer should be carefully selecting the exact case/casex/casez statement that matches their intent, 'composability' doesn't strike me as a useful consideration

animist
Aug 28, 2018
i mean if you're throwing software idiots (like me) at an FPGA it's basically adequate. idk anything about actual ASIC design tho

Sinestro
Oct 31, 2010

The perfect day needs the perfect set of wheels.
Haskell has future as a HDL because both digital circuits and lambda calculus can be interpreted as cartesian closed categories.

NihilCredo
Jun 6, 2011

iram omni possibili modo preme:
plus una illa te diffamabit, quam multæ virtutes commendabunt

Sinestro posted:

Haskell has future as a HDL because both digital circuits and lambda calculus can be interpreted as cartesian closed categories.

i sent my chip design to the factory and they shipped me an amazon dash button that i can push to start a wafer run only when i actually need it

JawnV6
Jul 4, 2004

So hot ...

animist posted:

i mean if you're throwing software idiots (like me) at an FPGA it's basically adequate. idk anything about actual ASIC design tho

v0v okay, idk where you want the bar to be then

JawnV6
Jul 4, 2004

So hot ...
anyway who likes libc????? https://lists.llvm.org/pipermail/llvm-dev/2019-June/133308.html

TheFluff
Dec 13, 2006

FRIENDS, LISTEN TO ME
I AM A SEAGULL
OF WEALTH AND TASTE

was it invented here? no? it can gently caress off then

Soricidus
Oct 21, 2010
freedom-hating statist shill
i don't understand why google wants to reimplement libc. surely a company so fond of reinventing wheels would have the ambition to create their own library instead of slavishly copying the mistakes of the 1970s

Adbot
ADBOT LOVES YOU

Plank Walker
Aug 11, 2005

Soricidus posted:

i don't understand why google wants to reimplement libc. surely a company so fond of reinventing wheels would have the ambition to create their own library instead of slavishly copying the mistakes of the 1970s

because if they created a new library with new idioms, their target users wouldn't be able to use stackoverflow to do their job

  • 1
  • 2
  • 3
  • 4
  • 5
  • Post
  • Reply