Register a SA Forums Account here!
JOINING THE SA FORUMS WILL REMOVE THIS BIG AD, THE ANNOYING UNDERLINED ADS, AND STUPID INTERSTITIAL ADS!!!

You can: log in, read the tech support FAQ, or request your lost password. This dumb message (and those ads) will appear on every screen until you register! Get rid of this crap by registering your own SA Forums Account and joining roughly 150,000 Goons, for the one-time price of $9.95! We charge money because it costs us money per month for bills, and since we don't believe in showing ads to our users, we try to make the money back through forum registrations.
 
  • Locked thread
Bloody
Mar 3, 2013

eschaton posted:

analog is magic already so this is absolutely right

analog is very straightforward as long as you have your copy of sedra/smith handy

Adbot
ADBOT LOVES YOU

Arcsech
Aug 5, 2008

Bloody posted:

analog is very straightforward as long as you have your copy of sedra/smith handy

my undergrad used sedra/smith and it was one of the worst textbooks I've ever used

I literally got fed up with it and went and read the wikipedia articles on whatever I was trying to learn at the time and everything made sense finally

analog is straightforward until you get to the point where all the simplifcations break down and then there's like a million things you have to take into account

sleepy gary
Jan 11, 2006

Sedra/Smith was fine :colbert:

spankmeister
Jun 15, 2008






hello thread i like to build tube amplifiers

Jonny 290
May 5, 2005



[ASK] me about OS/2 Warp

spankmeister posted:

hello thread i like to build tube amplifiers

are you ready to die

Jonny 290
May 5, 2005



[ASK] me about OS/2 Warp
im not sayin it WILL kill you, but im not sayin it wont

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

spankmeister posted:

hello thread i like to build tube amplifiers

have you funded your retirement yet by getting some wood & aluminum case made and setting up an online storefront to bilk audiophiles out of mad cash?

you have a moral and ethical duty to separate them from as much as possible, you know.

spankmeister
Jun 15, 2008






Jonny 290 posted:

im not sayin it WILL kill you, but im not sayin it wont
nah just follow the "one hand in pocket" rule and you're good

Tin Gang
Sep 27, 2007

Tin Gang posted:

showering has no effect on germs and is terrible for your skin. there is no good reason to do it
thing I heard from an old engineer the other day:

you know at a high enough frequency, electricity will destory your nerves before anything else, so you can't even feel it killing you. so what is the first thing you notice when being shocked by a high frequency voltage?

the smell of flesh burning, of course!

Blotto Skorzany
Nov 7, 2008

He's a PSoC, loose and runnin'
came the whisper from each lip
And he's here to do some business with
the bad ADC on his chip
bad ADC on his chiiiiip
i'm improving a blue screen of death that i wrote for a product firmware a while back to add some debug info so that i have something to go on if a unit crashes in the field. the arm cortex m3's nvic helpfully pushes some registers (eight of them) onto the stack before it starts executing an interrupt handler (eg. the mpu exception handler, where my code to draw the bsod lives). is there a less lovely way to grab stuff off the stack than

register void *sp asm("sp");
struct register_dump *registers = sp;

Bloody
Mar 3, 2013

the answer is probably extremely platform-specific and i dont know arms well enough off the top of my head to answer so instead i am :justpost:ing

yippee cahier
Mar 28, 2005

Bloody posted:

the answer is probably extremely platform-specific and i dont know arms well enough off the top of my head to answer so instead i am :justpost:ing

naw, that's what's nice about CMSIS. check out http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.dui0552a/CIHCAEJD.html

__get_MSP() should work. if you're running an RTOS, you may want __get_PSP(). your call.

Blotto Skorzany
Nov 7, 2008

He's a PSoC, loose and runnin'
came the whisper from each lip
And he's here to do some business with
the bad ADC on his chip
bad ADC on his chiiiiip
those intrinsics are perfect, tanks mang

Bloody
Mar 3, 2013

by platform i probably meant architecture. i know how to do it less shittily on an avr but no idea for an arm

i should really use arms more

hobbesmaster
Jan 28, 2008

so when you have a file which is literally called "raw binary file" you should just stream it bit by bit into a flash to be loaded later right

wrong! its actually the wrong endianness!

thanks altera

Chill Callahan
Nov 14, 2012
Just got this for 45 bux :twisted: on fleabay (haha). I kinda wanted the 936 for the analog dial, but at least this one isn't fisher price colored.

Bloody
Mar 3, 2013

hobbesmaster posted:

so when you have a file which is literally called "raw binary file" you should just stream it bit by bit into a flash to be loaded later right

wrong! its actually the wrong endianness!

thanks altera

lol if you don't regularly get owned by endianness issues

i certainly owned future-self several times today, as i spent today writing many lines of verilog and tested zero of them, including a few serializers and deserializers

Blotto Skorzany
Nov 7, 2008

He's a PSoC, loose and runnin'
came the whisper from each lip
And he's here to do some business with
the bad ADC on his chip
bad ADC on his chiiiiip

Bloody posted:

i certainly owned future-self several times today, as i spent today writing many lines of verilog and tested zero of them, including a few serializers and deserializers

Nice!

Blotto Skorzany
Nov 7, 2008

He's a PSoC, loose and runnin'
came the whisper from each lip
And he's here to do some business with
the bad ADC on his chip
bad ADC on his chiiiiip
i found three bugs in the bugtracker today that i meant to fix for the next release but forgot about but i'm not doing poo poo about them until after the release because the last release candidate is 90% of the way through validation testing :toot:

also i got the improved bsod working and whatnot, i was confused for a bit because my test values that i was stuffing in registers were getting mangled but then i realized that i used mvn instead of mov lol

bobbilljim
May 29, 2013

this christmas feels like the very first christmas to me
:shittydog::shittydog::shittydog:
im shittong myself https://www.youtube.com/watch?v=BrCBpr37JyI

Bloody
Mar 3, 2013


hi, i'm future bloody, and past bloody is an rear end in a top hat.

Bloody
Mar 3, 2013

also hdls are so goddamn tedious. yeah, lemme just declare my loving inputs and outputs in three places. boilerplate much???

i wish i could at least write my testbenches in a real language

ChiralCondensate
Nov 13, 2007

what is that man doing to his colour palette?
Grimey Drawer
my uTCA crate is here! now only to wait for the actual boards to put in it and for the sweet release of death

Bloody
Mar 3, 2013

serious q are there any non horrible ways of input fuzzing with verilog testbenches

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Bloody posted:

also hdls are so goddamn tedious. yeah, lemme just declare my loving inputs and outputs in three places. boilerplate much???

i wish i could at least write my testbenches in a real language

in starting to look at Verilog and VHDL, I started seriously thinking about writing an HDL in Lisp that would generate one of them but let me write at a nicer level of abstraction.

Bloody
Mar 3, 2013

seriously, do it. they are awful. i think verilog is slightly better, but just barely.

Bloody
Mar 3, 2013

or if youre using xilinx tools use hls as much as possible

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Bloody posted:

seriously, do it. they are awful. i think verilog is slightly better, but just barely.

are there any examples you can point to of good or bad Verilog, VHDL, and so on?

also, do the Xilinx tools work in win8 yet? or are they "reasonable" on linux? I don't have a win7 system handy.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
someone else with a scarab miniSpartan FPGA board posted a simple text generation example to github, and just skimming it with little real understanding of Verilog I see several things I'd prefer to do with a "real" language even if it just winds up generating a similar mess

if I can get the Xilinx tools up and running I'll probably try this anyway, just to see that board do something besides sit on my desk.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
also hey, a 6502 in Verilog, maybe I could make an Apple II with an HDMI port

and an octuple-hi-res graphics mode

(just gotta be sure to keep the strangeness of the graphics memory layout, otherwise it wouldn't be a real Apple II)

Bloody
Mar 3, 2013

eschaton posted:

are there any examples you can point to of good or bad Verilog, VHDL, and so on?

also, do the Xilinx tools work in win8 yet? or are they "reasonable" on linux? I don't have a win7 system handy.

theres a decent chance the vivado tools work on modern systems so that's nice

for some examples opencores has a lot of stuff, ranging from surprisingly good to definitely useless

yippee cahier
Mar 28, 2005

i cut my vacation short a day to assist with some qualification testing that we ultimately failed and spent two weeks poring through code and manuals, staying late making one off builds that i knew didn't make sense just to satisfy someone else's theories and pestering support engineers at another company to figure out why their product was flaking out when we were trying to using it. finally stole the scope from the hardware department today and found out the power bus drops half a volt below the absolute minimum voltage required for the flaky part when it performs a certain operation. three people assuring me it was rock solid and double checked, while hinting that i was probably toggling a non-existent reset line.

i want a raise.

maniacdevnull
Apr 18, 2007

FOUR CUBIC FRAMES
DISPROVES SOFT G GOD
YOU ARE EDUCATED STUPID

isn't that the kinda thing where you just throw a cap in front of it and it's fine?

longview
Dec 25, 2006

heh.
depending on how lovely the layout and power supply network is the fix could be anything from putting bigger caps in all the way to redesigning the layout and power supply

kind of wish ECL had taken off, the power supply requirements for high speed PECL are much lighter than high speed CMOS

atomicthumbs
Dec 26, 2010


We're in the business of extending man's senses.
hmm





hmm

a cyberpunk goose
May 21, 2007

hmm...(!)


hmm...(!)

Poopernickel
Oct 28, 2005

electricity bad
Fun Shoe

Bloody posted:

serious q are there any non horrible ways of input fuzzing with verilog testbenches

have you tried out MyHDL? It's a Python library that is designed for HDL generation - I wouldn't call it HLS or anything like that, but it's kind of like a weird mix between Python and Verilog development

they have pretty good support for the Verilog VPI, so any simulators that support it (Modelsim, Icarus, probably others) will work with MyHDL.

I've been messing around with using it to do unit-testing of my Verilog modules - write the module in Verilog and the testbench in MyHDL - then you get all of Python's unit-test functions and stuff.

I haven't used it for unit-testing production code yet, but I've screwed around with it some and I got a testbench up and running for a counter module :woop:

Chill Callahan
Nov 14, 2012

sick

Bloody
Mar 3, 2013

Poopernickel posted:

have you tried out MyHDL? It's a Python library that is designed for HDL generation - I wouldn't call it HLS or anything like that, but it's kind of like a weird mix between Python and Verilog development

they have pretty good support for the Verilog VPI, so any simulators that support it (Modelsim, Icarus, probably others) will work with MyHDL.

I've been messing around with using it to do unit-testing of my Verilog modules - write the module in Verilog and the testbench in MyHDL - then you get all of Python's unit-test functions and stuff.

I haven't used it for unit-testing production code yet, but I've screwed around with it some and I got a testbench up and running for a counter module :woop:

cool ill look into that, thank

Adbot
ADBOT LOVES YOU

movax
Aug 30, 2008

hobbesmaster posted:

so when you have a file which is literally called "raw binary file" you should just stream it bit by bit into a flash to be loaded later right

wrong! its actually the wrong endianness!

thanks altera

they don't have a sync word like 0xAA995566 from xilinx land?

i miss altera a little bit

  • Locked thread