Register a SA Forums Account here!
JOINING THE SA FORUMS WILL REMOVE THIS BIG AD, THE ANNOYING UNDERLINED ADS, AND STUPID INTERSTITIAL ADS!!!

You can: log in, read the tech support FAQ, or request your lost password. This dumb message (and those ads) will appear on every screen until you register! Get rid of this crap by registering your own SA Forums Account and joining roughly 150,000 Goons, for the one-time price of $9.95! We charge money because it costs us money per month for bills, and since we don't believe in showing ads to our users, we try to make the money back through forum registrations.
 
  • Locked thread
eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Bloody posted:

but what if i want to get data in/out faster?? how do i do like real usb or ethernet as painlessly as possible??

some sort of fpga would be my first choice. they can be inexpensive (for prototyping anyway) and have blocks for all sorts of things like USB, Ethernet, HDMI, etc.

Adbot
ADBOT LOVES YOU

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
I'm hoping my miniSpartan 6+ LX ships soon, I should start hacking together a VHDL description of NuBus for it

I ordered it because it had both a ton of GPIO and HDMI out, my goal is to make a video card for my Mac IIci that can handle 1920x1080. I'll be able to connect it almost directly to the NuBus, just adding bidirectional level conversion, and everything else can be done in "software"

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
how many gates would an FPGA reasonably need to support to emulate a Lisp Machine CPU?

like first-gen, the CADR/Lambda/TI Explorer

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

movax posted:

the low-end parts from altera or xilinx should be able to do it easy (spartan-6, artix-7, cyclone iv or cyclone v) -- there was a recent kickstarter for a spartan6 devboard which would work, or check out digilent's de-0 or de-1

i didn't see what cpu the machines used on wiki, but for 1980s vintage, i don't think you're running out of gates anytime soon.

the Lisp Machines used custom CPUs specifically designed for ease of Lisp implementation. (which isn't the same as "running Lisp in hardware" as some people claim.) they also had writable microcode, so the lowest-level operations could be made as fast as possible

the CADR emulator and Explorer emulator codebases provide C implementations that could probably be used to author some VHDL with effort, the main thing I'm wondering is if I'd get partway through and find out that lol, my Spartan 6 won't have anywhere near enough gates

I suppose since the original systems were literally a few square feet of 7400-series chips I should be able to estimate the gate count from that (hell, even just based on physical density)

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

movax posted:

ahh, i see -- i still don't think you'd have a problem with the larger gate count spartan / cyclone devices, sounds like an interesting project though (especially to re from the existing emulators). wonder what the original were clocked at

according to the Explorer docs at the Internet Archive, they had a 7 MHz microinstrunction clock. the processor board manual has a decent explanation of the internals including the microinstrunction format, hypothetically it should be enough to build an emulator for the cpu itself.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

movax posted:

i'd be surprised if either the nexys-3 (spartan 6) or nexys-4 (artix 7) wouldn't be enough -- hopefully you can get academic pricing

microsemi's a low cost option but i think you'd need the igloo2 to fit everything

I ordered a Scarab miniSpartan 6+ LX a while back, hypothetically it ships soon, and I'll find out!

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Werthog 95 posted:

hey so here's a hobbyist's question for the actual experts itt

i was gonna make a usb adapter for my ADB keyboard
()
because the protocol is super simple

but then i found somebody else had already made one, all you had to do was stick an s-video port on a teensy and load his firmware https://geekhack.org/index.php?topic=14290.0

the problem is: it sucks

so if i wanted to make my own, what's the hip good microcontroller for making usb adapters (that doesn't require me to use TI's lovely loving dev environment)

what sucks about it, is it something you could fix in the firmware?

I have to say that this is in fact the very pro-est keyboard. at work I'm still using the first one my family bought with our Mac IIci in 1990, via the Griffin iMate adaptor. I have to plan for when the adaptor dies though, it has a button cell in it. so I'm keenly interested in whatever you come up with, pls subscribe me your newsletter.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Poopernickel posted:

I'd say one of the larger Spartan 6s would be plenty. Buy the biggest FPGA that the Webpack supports (probably either the LX45 or the LX25).

The one thing that could get you is the memory - some of those LISP machines had upwards of a megabyte of RAM. Not a lot in the scheme of things, but more than a cheap FPGA will provide. So make sure you have some external memory.

the scarab miniSpartan 6+ I have on the way has an LX25 and 32MB SDRAM so I should be good. thanks!

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

suffix posted:

is codesourcery still a thing?
the last time i did anything serious with arm you couldn't use mainline gcc, you had to download a specific two releases old version of codesourcery, or your program would crash

how's LLVM/clang as an embedded compiler these days? should have pretty good ARM support.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Jonny 290 posted:

feels too Commodore-1541 to me

how is this a bad thing? I mean, I've always been an Apple guy, and the IWM was an amazing hack, but giving the 1541 its own CPU made it awesome

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
any suggestions on what level of scope I'd want for hacking on old-computer projects, with things like 25 MHz CPUs and 10 MHz busses?

like, is a dirt-cheap modern scope fine? how about a used 100 MHz scope? is that overkill?

would I be better off with a logic analyzer? saw an HP 1660 with kit for what looked like a decent price yesterday…

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
check out this retro bit janitoring! a 68K single-board computer with ISA slots? boy howdy!

I first read this when it was a series of articles in Radio-Electronics magazine in 1987, it was in large part how I learned to read schematics and how computer hardware all fit together at the low level

does anyone even make cases for the PC-XT form factor any more?

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Mr. Despair posted:

have a scope too



Tek :swoon:

I was looking at those Saleae logic analyzers someone mentioned but it looks like, for the price of their 16ch model, I could get a used HP 1660CS with probes

136 channels! LAN interface with X11!

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
just found out about OSH Park, which does small-scale and very inexpensive PCB fabrication with a quick turnaround.

I'd been worried a bit about this thing I want to do because at 10 MHz I expect it'd be over what would normally work well with breadboard, wire wrap, and so on. but this place is dirt cheap, and a couple people I trust recommended it. I also found what looks to be a decent inexpensive layout app to use with them.

so how cheap is it? :10bux: per square inch per lot of three four-layer boards! (and half that for two-layer.)

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Jonny 290 posted:

do we need a separate surplus thread, or should i just megapost

i will clue u all in about american science + surplus, electronics goldmine, fair radio sales

surplus post would be a nice yosmas present

any opinion on halted (HSC) vs the others? thinking of heading there tomorrow to buy poo poo, not just ogle it.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Bloody posted:

Also ten megs on a breadboard is going to be fine, your tenth order harmonics are still more than a meter and the parasitics will be irrelevant

I was going by the last post in this thread on the 68 Katy and the other site linked from it which made me think running a >1 MHz bus onto a breadboard would be problematic.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

movax posted:

All this talk of clock speed, and no mention of edge rate

10Mhz tells you the period of the signal, doesn't tell you poo poo about the edge rate of the signal; if you've got some shiny new parts driving 10mhz on a tiny rear end process, you could have near sub-ns rise times, which is a frequency much higher than 10mhz

thanks! for me, I'm specifically talking about NuBus.

I'm not sure how to figure its edge rate, but it's specified as 75ns unasserted, 25ns asserted, with signals asserted on the rising edge and sampled on the falling edge of its active-low bus clock.

Edit: Found more detailed timing info towards the end of the pdf I linked. looks like it's not too bad...

eschaton fucked around with this message at 22:03 on Dec 26, 2014

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
I now have an HP 1660CS logic analyzer and scope! (pods and clips are on the way.)

so far I've used the scope to view the action in a little 555 blinker. total overkill, sure, but it was fun to see the hardware I just picked up do something besides boot. also, it gave me the idea that I should also be able to diagnose and repair my digital tuner (a Korg DT-1) pretty easily now. I could also do that with a just multimeter, of course.

I've also set it up on my network, and downloaded the user and programming guide PDFs from HP Agilent Keysight so I can do things like name inputs and assign them to a bus without clicking around. amusingly, all of the programming examples are given in HP BASIC. (they all amount to just reading and writing strings of textual HP-IB commands.)

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Phobeste posted:

logic analyzers and scopes each have their own distinct uses unless you get the really really expensive ones that have both a) not poo poo analogue capabilities and b) a whole shitload of channels

get one that used to be really expensive

136 channels of love

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Tin Gang posted:

where do you even get probes for something like that?

e: have you considered "donating" it for a tax write off?

eBay has lots of people selling used test equipment, including Arcsech’s Tek TLA5204 ($10K!), and stuff like probes & pods too

the latter seem to run $25-$100 or so for other logic analyzers, I don't know what the 5204 takes so I can't look up much in the way of details

also lol windows-based test equipment

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
stopped by Fry's and picked up an Intel Edison Arduino Kit, it's basically an Arduino but with two 32-bit x86 cores running at hundreds of MHz with gigabytes of RAM and flash and WiFi and Bluetooth instead of an 8-bit microcosm trooper with kilobytes of RAM and flash and a straw to the rest of the world

downside: running Yocto Linux (or any Linux) on an embedded system

wonder how hard it'd be to boot Darwin on it

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

I'm the wearing your conference badge on stage

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

kwinkles posted:

i don't think the edison board ever did this. the first galileo board only had low-speed gpio on expanders, but the current galileo board has 12 high speed gpio pins right off of the SoC and more on an i2c expander. i am pretty sure the edison board has at least that many high speed gpios but i haven't worked with it so i'm not sure and i can't be bothered to look it up.

the Edison can be configured for up to 44 GPIO pins, though as always the pins are shared by other interfaces.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

kwinkles posted:

this sounds pretty rad. here is what i made with 12 full speed gpios:

sweet! what kind of LED matrix is that? I assume it's i2c?

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
so the Intel Edison stuff, with the Arduino board has an Arduino-compatible IDE. how should I go about figuring out what all of the Arduino stuff actually maps to in terms of memory-mapped I/O (or whatever) so I can wire it up to Lisp instead?

oh, also, SBCL runs OK on the board. not great, at least in comparison to my MacBook Pro (i7), but acceptably well and probably a lot better than on Raspberry Pi.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

eschaton posted:

so the Intel Edison stuff, with the Arduino board has an Arduino-compatible IDE. how should I go about figuring out what all of the Arduino stuff actually maps to in terms of memory-mapped I/O (or whatever) so I can wire it up to Lisp instead?

figured this out! last week I found the source drop on Intel's site that includes the components implementing their Arduino support, which led me to their libmraa low-level C library for interfacing with I/O.

just a quick compile against the already-installed headers and library, and I was able to use some C to blink the onboard LED.

quote:

oh, also, SBCL runs OK on the board. not great, at least in comparison to my MacBook Pro (i7), but acceptably well and probably a lot better than on Raspberry Pi.

I also switched from SBCL to CCL for my Lisp, since it's the environment I'm more comfortable in on my Mac and it performs nicely on the Edison too. today I managed to figure out the madness that is CFFI and got a basic wrapper for libmraa's GPIO functionality written.

now I can write

code:

(defun blink-onboard-led (n)
  (let ((gpio-13 (mraa:gpio-init 13)))
    (mraa:set-gpio-dir gpio-13 :mraa-gpio-out)
    (loop for i from 1 to n
	  do (sleep 1)
	  when (oddp i) do (mraa:gpio-write gpio-13 0)
	  else          do (mraa:gpio-write gpio-13 1)
	  finally       (mraa:gpio-write gpio-13 1))))

and blink the board's LED for n seconds!

next I'm planning to wire up something to a breadboard and just try pushing some pattern of bytes to it as fast as I can and capture a trace with my analyzer, so I can see just what kind of bandwidth I have available (and maybe also check that Lisp isn't adding that much overhead)

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

:pcgaming: tilt :pcgaming:

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
I made an LED blink on a breadboard via jumpers from the Edison Arduino board.

and the LED didn't get hot or explode! I actually used a resistor, and the right resistor too!

next I want to measure just how fast I can toggle that I/O from Lisp and C. I just didn't want to set up the logic analyzer before dinner. it was getting to be cheesesteak o'clock, you see.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
it's somewhat sarcastic as my teenage adventures in hardware were less than successful and half a lifetime ago

now though I want it to not be a big deal. I want to make things that plug into other things, and I want to make things what do things.

and well



blink baby blink!

and in C instead of Clozure Common Lisp:



both are using libmraa via sysfs rather than memory-mapped I/O

eschaton fucked around with this message at 07:39 on Feb 2, 2015

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Mido posted:

with a bit of fiddling you can keep a 1wire device dead without power draw

there's a lot you can kill with a bit of fiddling

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Blotto Skorzany posted:

the necronomicon

analog is magic already so this is absolutely right

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

spankmeister posted:

hello thread i like to build tube amplifiers

have you funded your retirement yet by getting some wood & aluminum case made and setting up an online storefront to bilk audiophiles out of mad cash?

you have a moral and ethical duty to separate them from as much as possible, you know.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Bloody posted:

also hdls are so goddamn tedious. yeah, lemme just declare my loving inputs and outputs in three places. boilerplate much???

i wish i could at least write my testbenches in a real language

in starting to look at Verilog and VHDL, I started seriously thinking about writing an HDL in Lisp that would generate one of them but let me write at a nicer level of abstraction.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Bloody posted:

seriously, do it. they are awful. i think verilog is slightly better, but just barely.

are there any examples you can point to of good or bad Verilog, VHDL, and so on?

also, do the Xilinx tools work in win8 yet? or are they "reasonable" on linux? I don't have a win7 system handy.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
someone else with a scarab miniSpartan FPGA board posted a simple text generation example to github, and just skimming it with little real understanding of Verilog I see several things I'd prefer to do with a "real" language even if it just winds up generating a similar mess

if I can get the Xilinx tools up and running I'll probably try this anyway, just to see that board do something besides sit on my desk.

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?
also hey, a 6502 in Verilog, maybe I could make an Apple II with an HDMI port

and an octuple-hi-res graphics mode

(just gotta be sure to keep the strangeness of the graphics memory layout, otherwise it wouldn't be a real Apple II)

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Poopernickel posted:

Killed self literally, I am a ghost now

stay safe Xilinx ghost

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

movax posted:

fpga tooling on linux is only good for running headless builds imo; if you need to use the gui at all, stick with windows. linux is a second-class citizen (as it should be)

if i were to install the Xilinx tools on Linux, would that be sufficient for new development if I just did everything through makefiles? or does the tooling really insist on an IDE-generated project file and so on, even for command-line builds?

kind of like the IDE that I work on does

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Bloody posted:

anybody write a tolerable to use shim for vhdl or verilog yet having to write c# programs to emit dozens of lines of boilerplate is getting really tedious

I've been too busy with work stuff to do more than shoot the poo poo about writing a Lisp HDL that outputs Verilog. (plus you'd have to use Lisp to use it. and my employer would have to sign off for me to share it…)

instead of writing c# to emit boilerplate though, could use use the T4 template system or something? seems like that might be a better fit for just expanding out boilerplate, and it's part of Visual Studio too.

Adbot
ADBOT LOVES YOU

eschaton
Mar 7, 2007

Don't you just hate when you wind up in a store with people who are in a socioeconomic class that is pretty obviously about two levels lower than your own?

Bloody posted:

:captainpop: did not know that existed

I've never used it, since I don't actually do Windows stuff, but I think it came out of shaggar's favorite framework (entity framework) for their codegen stuff.

  • Locked thread